рдХреНрд╡рд╛рд░реНрдЯреНрдЬ II тАЛтАЛрдФрд░ рдереЛрдбрд╝рд╛ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ FPGA рдШрдбрд╝реА

рдЗрд╕ рд╡рд┐рд╖рдп рдореЗрдВ рдореИрдВ рдмрд╛рдд рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реВрдВ рдХрд┐ рдЖрдк FPGA рдкрд░ рдПрдХ рдШрдбрд╝реА рдХреИрд╕реЗ рд▓рд╛рдЧреВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рдХреБрдЫ рдЕрдЬреАрдм рд▓рдЧ рд╕рдХрддрд╛ рд╣реИ, рдЕрдирд╛рд╡рд╢реНрдпрдХ - рд▓реЗрдХрд┐рди рдЖрдкрдХреЛ рдХрд╣реАрдВ рди рдХрд╣реАрдВ рд╕реЗ рд╢реБрд░реБрдЖрдд рдХрд░рдиреА рд╣реЛрдЧреА, рдЗрд╕рд▓рд┐рдП, рдпрд╣ рд╡рд┐рд╖рдп рдЙрди рд╢реБрд░реБрдЖрддреА рд▓реЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реЛрдЧрд╛ рдЬреЛ рдПрд▓рдЗрдбреА рдХреЗ рд╕рд╛рде рдкрд▓рдХ рдЭрдкрдХрддреЗ рд╣реИрдВ рдФрд░ рдХреБрдЫ рдФрд░ рджрд┐рд▓рдЪрд╕реНрдк рдЪрд╛рд╣рддреЗ рд╣реИрдВред


рддреЛ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рд╣реИ:
1) рддрд╛рд░реЗрд╕рд┐рдХ рдбреЗ0-рдиреИрдиреЛ рдбрд┐рдмрдЧ рдмреЛрд░реНрдб рд╡рд┐рде рд╕рд╛рдЗрдХреНрд▓реЛрди IVред
рдЫрд╡рд┐
2) рд╕рд╛рдд рдЦрдВрдбреЛрдВ рд╡рд╛рд▓реЗ рдПрдХ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдЯрд╛рдВрдХрд╛ рд▓рдЧрд╛ рд╣реЛрддрд╛ рд╣реИ, рдЬрд┐рд╕ рдкрд░ рд╣рдо рдШрдВрдЯреЛрдВ рдФрд░ рдорд┐рдирдЯреЛрдВ рдХреЛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░реЗрдВрдЧреЗ /
рдЗрди рджреЛ рдмрд┐рдВрджреБрдУрдВ рдХреЗ рд▓рд┐рдП, рдкрд╕рдВрдж рдХреА рдкреВрд░реНрдг рд╕реНрд╡рддрдВрддреНрд░рддрд╛ рд▓рдЧрднрдЧ рдХреЛрдИ рднреА FPGA рдХрд░реЗрдЧрд╛, рдФрд░ рд╣рд░ рдХреЛрдИ рд╕рдВрдХреЗрддрдХ рдХреЗ рд╕рд╛рде рдореЙрдХ-рдЕрдк рдХрд░реЗрдЧрд╛ рдЬрд┐рд╕ рддрд░рд╣ рд╕реЗ рд╡рд╣ рдХрд░рддрд╛ рд╣реИред

рдЕрдм рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВред
рд╣рдо рдХреНрд░рдо рдореЗрдВ рдЬрд╛рдПрдВрдЧреЗред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рд╣рдо рдЦреБрдж рд╕реЗ рдкреВрдЫрддреЗ рд╣реИрдВ: рдШрдбрд╝реА рдХреНрдпрд╛ рд╣реИ? рдПрдХ рдШрдбрд╝реА рдПрдХ рдЙрдкрдХрд░рдг рд╣реИ рдЬреЛ рд╕рдордп рдХреА рдЧрдгрдирд╛ рдХрд░рддрд╛ рд╣реИред рдЗрд╕реЗ рдЧрд┐рдирдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдореЗрдВ рдПрдХ рд╕рдЯреАрдХ рд╕реНрд░реЛрдд рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рд╣рдорд╛рд░реЗ рдмреЛрд░реНрдб рдореЗрдВ 50 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдШрдбрд╝реА рд╣реИред рдмрд╣реБрдд рдЕрдзрд┐рдХ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд╣рдореЗрдВ 1 рд╣рд░реНрдЯреНрдЬ (рдПрдХ "рдЯрд┐рдХ" рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб) рдХреА рдЖрд╡реГрддреНрддрд┐ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрд╕реЗ 50,000,000 рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдХреИрд╕реЗ рд╕рд╛рдЭрд╛ рдХрд░реЗрдВ? рдореИрдВрдиреЗ рдЗрд╕реЗ рджреЛ рдЪрд░рдгреЛрдВ рдореЗрдВ рдХрд┐рдпрд╛: рдкреАрдПрд▓рдПрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ, 50 рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рдд рдФрд░ рдХрд╛рдЙрдВрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ, рдПрдХ рдорд┐рд▓рд┐рдпрди рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рддред
PLL рдХреНрдпрд╛ рд╣реИ? рдореЛрдЯреЗ рддреМрд░ рдкрд░, рдпрд╣ рдПрдХ рдРрд╕реА рдЪреАрдЬ рд╣реИ рдЬреЛ рдШрдбрд╝реА рдХреЗ рд╕рдВрдХреЗрдд рдХреЛ рдмрджрд▓ рд╕рдХрддреА рд╣реИ: рдЧреБрдгрд╛ рдХрд░реЗрдВ, рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░реЗрдВ, рдЪрд░рдг рдореЗрдВ рдмрджрд▓рд╛рд╡ рдХрд░реЗрдВ, рдХрд░реНрддрд╡реНрдп рдЪрдХреНрд░ рдХреЛ рдмрджрд▓реЗрдВред рдЪрдХреНрд░рд╡рд╛рдд IV рдореЗрдВ рдЙрдирдореЗрдВ рд╕реЗ 4 рд╣реИрдВ, рддреЛ рдПрдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХреНрдпреЛрдВ рдирд╣реАрдВ рдХрд┐рдпрд╛ ...

рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, MegaWizard Plugin Manager рдЦреЛрд▓реЗрдВ рдФрд░ IO рдЕрдиреБрднрд╛рдЧ рд╕реЗ ALT_PLL рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВ:
1) рдЗрдирдкреБрдЯ рдЖрд╡реГрддреНрддрд┐ рдХреЛ 50 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдкрд░ рд╕реЗрдЯ рдХрд░реЗрдВ:

2) рдЕрдирд╛рд╡рд╢реНрдпрдХ рдЗрдирдкреБрдЯ рдЕрдХреНрд╖рдо рдХрд░реЗрдВ:

3) рддреАрди рдмрд╛рд░ рдиреЗрдХреНрд╕реНрдЯ рдкрд░ рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ рдФрд░ рд╕рд┐рдЧреНрдирд▓ рдкреИрд░рд╛рдореАрдЯрд░ рдЪреБрдирдиреЗ рдХреЗ рд▓рд┐рдП рд╡рд┐рдВрдбреЛ рдореЗрдВ рдЬрд╛рдПрдВ, рдЬрд╣рд╛рдВ рд╣рдордиреЗ рдбрд┐рд╡реАрдЬрди рдлреИрдХреНрдЯрд░ 50 рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд┐рдпрд╛ рд╣реИ:

рддрдм рдЖрдк рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдореЗрдВ рд╕реБрд░рдХреНрд╖рд┐рдд рд░реВрдк рд╕реЗ рд╕рдорд╛рдкреНрдд, рд╕рд╣реЗрдЬреЗрдВ рдФрд░ рдкреЗрд╕реНрдЯ рдкрд░ рдХреНрд▓рд┐рдХ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред
рдпрд╣рд╛рдБ рд╣рдореЗрдВ рдкреНрд░рд╛рдкреНрдд рд╣реЛрдиреЗ рд╡рд╛рд▓реА рд╕реБрдВрджрд░рддрд╛ рд╣реИ:


рдмрд╛рдпрд╛рдВ рдЗрдирдкреБрдЯ 50 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ, рджрд╛рдпрд╛рдВ 1 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╣реИред
рдЕрдм рд╣рдореЗрдВ рдПрдХ рдФрд░ рдорд┐рд▓рд┐рдпрди рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ - рдЗрд╕рдХреЗ рд▓рд┐рдП рд╣рдо рдПрдХ рдХрд╛рдЙрдВрдЯрд░ рдореЛрдбреБрд▓реЛ 1,000,000 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред рдЧрд┐рдирддреА рдореЙрдбреНрдпреВрд▓ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рд╕рдВрднрд╛рд╡рд┐рдд рд░рд╛рдЬреНрдпреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рд╣реИред
рд╣рдо рдЗрд╕реЗ рдЙрд╕реА MegaWizard рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рдмрдирд╛рддреЗ рд╣реИрдВ, рдЬрд┐рд╕рдореЗрдВ LPM_Counter рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред
100 рдмрд┐рдЯреНрд╕ рдХреА рдЧрдгрдирд╛ рдорд╛рдкрд╛рдВрдХ рдХреЗ рд╕рд╛рде рдмрд┐рдЯ рдХреА рд▓рдВрдмрд╛рдИ 20 рдмрд┐рдЯреНрд╕ рдкрд░ рд╕реЗрдЯ рдХрд░реЗрдВ, рдФрд░ рдорд╛рдкрд╛рдВрдХ рдЪреБрдиреЗрдВред
рд╣рдореЗрдВ рдпрд╣ рдорд┐рд▓рддрд╛ рд╣реИ:


рдЕрдм рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдПрдХ рд╕рд╛рдл 1 рд╣рд░реНрдЯреНрдЬ рд╣реИ, рдЬрд┐рд╕реЗ рд╡рд░рд┐рд╖реНрда (19) рдбрд┐рд╕реНрдЪрд╛рд░реНрдЬ рд╕реЗ рдкреНрд░рд╛рдкреНрдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдЕрдЧрд▓рд╛, рд╣рдореЗрдВ 3 рдХрд╛рдЙрдВрдЯрд░реЛрдВ рдХрд╛ рдПрдХ рдЭрд░рдирд╛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ: рджреЛ рдореЛрдбреБрд▓реЛ 60 (рдорд┐рдирдЯ рдФрд░ рд╕реЗрдХрдВрдб), рдФрд░ рдПрдХ рдореЛрдбреБрд▓реЛ 24 (рдЬрд╛рд╣рд┐рд░ рд╣реИ, рдШрдВрдЯреЗ)ред
рджреВрд╕рд░рд╛ рдХрд╛рдЙрдВрдЯрд░ - рдПрд╕рд┐рдВрдХреНрд░реЛрдирд╕ рд░реАрд╕реЗрдЯ рдХреЗ рд╕рд╛рде, рдЬреЛ рддрдм рд╣реЛрддрд╛ рд╣реИ рдЬрдм рдХреЛрдИ рднреА рдмрдЯрди рджрдмрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рдШрдВрдЯреЗ / рдорд┐рдирдЯ рд╕реЗрдЯрд┐рдВрдЧ)

рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рдХреИрд░реА рдЖрдЙрдЯ рдЖрдЙрдЯрдкреБрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рдЕрдЧрд▓реЗ рдХрд╛рдЙрдВрдЯрд░ рдкрд░ рдЕрддрд┐рдкреНрд░рд╡рд╛рд╣ рдХреЗ рджреМрд░рд╛рди рд╕реНрдерд╛рдирд╛рдВрддрд░рдг), рдФрд░ рд╕реЗрдХрдВрдб рдПрд▓рдИрдбреА рдкрд░ рдкреНрд░рджрд░реНрд╢рд┐рдд рд╣реЛрддреЗ рд╣реИрдВред

рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд 2 рдХрд╛рдЙрдВрдЯрд░ рдЙрд╕реА рддрд░рд╣ рд╕реЗ рдмрдирд╛рдП рдЧрдП рд╣реИрдВ, рдПрдХ рдЕрдкрд╡рд╛рдж рдХреЗ рд╕рд╛рде: рд╕рдордп рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, 1 рд╣рд░реНрдЯреНрдЬ рд╕реЗ рдЕрдзрд┐рдХ рддреЗрдЬреА рд╕реЗ рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рд▓рд╛рдЧреВ рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ, рддрд╛рдХрд┐ рдЯреНрдпреВрдирд┐рдВрдЧ рдХреЗ рджреМрд░рд╛рди рд╕реЛ рди рдЬрд╛рдПред :)
рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдмрдЯрди-рдирд┐рдпрдВрддреНрд░рд┐рдд рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░реНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВ:


рдКрдкрд░реА рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рдЗрдирдкреБрдЯ рдкрд░ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреМрди рд╕реА рдЖрд╡реГрддреНрддрд┐ рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ рдирд┐рдЪрд▓рд╛ рд╡рд╛рд▓рд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдЗрдирдкреБрдЯ рд╕рд┐рдиреЗрдорд╛ рдХреЛ рдХреНрдпрд╛ рднреЗрдЬрд╛ рдЬрд╛рдП: рджреВрд╕рд░реЗ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рдХреЙрдЯ рд╕реЗ рд╕реНрдерд╛рдирд╛рдВрддрд░рдг, рдпрд╛ рдмрд╕ рд▓реЙрдЧ рд╕реНрддрд░ред "1"ред
рдЗрдирдкреБрдЯ рд╕рд┐рдиреЗрдорд╛ рдХрд╛ рд╕рд╛рд░ рдпрд╣ рд╣реИ рдХрд┐ рдХрд╛рдЙрдВрдЯрд░ рдХреЗрд╡рд▓ рддрднреА рдЧрд┐рдирд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬрдм рдЗрд╕ рдЗрдирдкреБрдЯ рдкрд░ рдПрдХ рддрд╛рд░реНрдХрд┐рдХ рдЗрдХрд╛рдИ рд╕реНрддрд░ рд╣реЛрддрд╛ рд╣реИред рдпрд╣реА рд╣реИ, рдЬрдм рджреВрд╕рд░рд╛ рдХрд╛рдЙрдВрдЯрд░ рдУрд╡рд░рдлреНрд▓реЛ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдпрд╣ рдХреЙрдЯ рдХреЛ рдмрдврд╝рд╛рддрд╛ рд╣реИред рдпрд╣ "1" рдЕрдЧрд▓реЗ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рдЗрдирдкреБрдЯ рд╕рд┐рдиреЗрдорд╛ рдкрд░ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдпрд╣ рдПрдХ рдорд┐рдирдЯ рдХреА рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдЗрд╕реА рддрд░рд╣ред
рдЗрд╕ рдкреНрд░рдХрд╛рд░, рдЬрдм рдХреБрдВрдЬреА [0] рдЬрд╛рд░реА рдХреА рдЬрд╛рддреА рд╣реИ, рддреЛ рдорд┐рдирдЯ рдХрд╛рдЙрдВрдЯрд░ рдкреНрд░рддрд┐ рдорд┐рдирдЯ 1 рдмрд╛рд░ (рдЬреЛ рддрд╛рд░реНрдХрд┐рдХ рд╣реИ) рдЧрд┐рдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ рдЬрдм рджрдмрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ - рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб 4 рдмрд╛рд░ред

рдЗрд╕реА рддрд░рд╣ рдШрдВрдЯреЗ рдореАрдЯрд░ рдХреЗ рд▓рд┐рдП:

рдКрдкрд░реА рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдПрдХ рдкрд▓ рдХреЗ рдЕрдкрд╡рд╛рдж рдХреЗ рд╕рд╛рде рд╕реНрдерд╛рдирд╛рдВрддрд░рдг, рдФрд░ рдирд┐рдореНрди рдЖрд╡реГрддреНрддрд┐ рдХрд╛ рдкреНрд░рдмрдВрдзрди рдХрд░рддрд╛ рд╣реИ: рдЬрдм рдХреБрдВрдЬреА [0] рджрдмрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдореАрдЯрд░ рдХреЗ рдкрд╛рдиреА рдкрд░ рдХреЛрдИ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдирд╣реАрдВ рдЖрддреЗ рд╣реИрдВ (рдРрд╕рд╛ рдЗрд╕рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рддрд╛рдХрд┐ рдорд┐рдирдЯ рд╕реЗрдЯ рдХрд░рддреЗ рд╕рдордп рдпрд╣ рднрдЯрдХ рди рдЬрд╛рдП)ред рдпрд╣ AND рддрддреНрд╡ рдХреЗ рдХрд╛рд░рдг рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдЗрдирдкреБрдЯ рдХреЗ рд▓рд┐рдП рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдФрд░ рдХреБрдВрдЬреА [0] рдХреА рдЖрдкреВрд░реНрддрд┐ рдХреА рдЬрд╛рддреА рд╣реИред рдЬрдм рдХреБрдВрдЬреА [0] рдкрд░ рдмрдЯрди рдЬрд╛рд░реА рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╕реНрддрд░ рдЙрдЪреНрдЪ рд╣реЛрддрд╛ рд╣реИ рдФрд░ q [19] рдЗрд╕рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЧреБрдЬрд░рддрд╛ рд╣реИ, рдЬрдм рджрдмрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╕реНрддрд░ "0" рд╣реИ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рднреА "0" рд╣реИред рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рдХрд╛рдЙрдВрдЯрд░ рдЗрдирдкреБрдЯ "рдХрд╛рдЙрдВрдЯ рдЗрдиреЗрдмрд▓" рдХреЛ рдЪрд╛рд▓реВ рдХрд░рдирд╛ рдФрд░ рдЗрд╕рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рдмрдВрдж рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реЛрдЧрд╛ - рдЗрд╕ рдХрд╛рд░реНрдп рдореЗрдВ рдпрд╣ рдЕрдкреНрд░рддреНрдпрд╛рд╢рд┐рдд рд╣реИред

рдЕрдм рд╣рдореЗрдВ рдХрд╛рдЙрдВрдЯрд░реЛрдВ рд╕реЗ рдбреЗрдЯрд╛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдореЗрдВ рдмрд╛рдЗрдирд░реА рд╕реЗ рдмрд╛рдЗрдирд░реА рджрд╢рдорд▓рд╡ рдореЗрдВ рдПрдХ рдХрдирд╡рд░реНрдЯрд░ рдмрдирд╛рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдпрд╣ рдмрд╛рдЗрдирд░реА рдХреЛрдб рдореЗрдВ рдПрдХ рджрд╢рдорд▓рд╡ рд╕рдВрдЦреНрдпрд╛ рдХрд╛ рдРрд╕рд╛ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рд╣реИ, рдЬрдм рдкреНрд░рддреНрдпреЗрдХ рджрд╢рдорд▓рд╡ рдЕрдВрдХ рдПрдХ рдЕрд▓рдЧ 4 рдмрд╛рдЗрдирд░реА рдЕрдВрдХреЛрдВ рджреНрд╡рд╛рд░рд╛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред
рдПрдХ рдЙрджрд╛рд╣рд░рдг:
738 = 0111 0011 1000ред

рдЗрд╕ рд░реВрдкрд╛рдВрддрд░рдг рдХреЗ рд▓рд┐рдП, рд╣рдо рдбрдмрд▓-рдбрдмрд▓ рдПрд▓реНрдЧреЛрд░рд┐рдердо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВрдЧреЗред
рдПрд▓реНрдЧреЛрд░рд┐рдереНрдо рдХрд╛ рд╕рд╛рд░:
1) рдмрд╛рдЗрдирд░реА рдирдВрдмрд░ рдХреЛ рдПрдХ рдЕрдВрдХ рд╕реЗ рдмрд╛рдИрдВ рдУрд░ рд╢рд┐рдлреНрдЯ рдХрд░реЗрдВред
2) рдпрджрд┐ 4 рдкрд╛рд░рд┐рдпреЛрдВ - "рджрд╕рд┐рдпреЛрдВ" рдФрд░ "рдЗрдХрд╛рдЗрдпреЛрдВ" рдХреЙрд▓рдо рдореЗрдВ рдмреАрд╕реАрдбреА рдХреА рд╕рдВрдЦреНрдпрд╛ред рдПрд▓реНрдЧреЛрд░рд┐рдереНрдо рдХрд╛ рдЕрдВрдд
3) рдпрджрд┐ рдХрд┐рд╕реА рднреА рдХреЙрд▓рдо рдореЗрдВ рд╕рдВрдЦреНрдпрд╛ 4 рд╕реЗ рдЕрдзрд┐рдХ рд╣реИ - 3 рдЬреЛрдбрд╝реЗрдВред
рдЪрд░рдг 1 рдкрд░ рдЬрд╛рдПрдВред

рдпрд╣рд╛рдБ рдПрдХ рдЙрджрд╛рд╣рд░рдг рд╣реИ:
рдЫрд╡рд┐

рдФрд░ рдпрд╣рд╛рдВ рд╣рдореЗрдВ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рддрд╛рдХрд┐ рдпрд╣ рд░реВрдкрд╛рдВрддрд░рдг рдмрд┐рдирд╛ рджреЗрд░реА рдХреЗ рдХрд┐рдпрд╛ рдЬрд╛рдП:
s16.radikal.ru/i191/1107/a4/59618e3101ca.png
рдкреНрд░рддреНрдпреЗрдХ рдореЙрдбреНрдпреВрд▓ C рдЗрдирдкреБрдЯ рдореЗрдВ 3 рдЬреЛрдбрд╝рддрд╛ рд╣реИ рдпрджрд┐ рдЗрдирдкреБрдЯ рдкрд░ 4 рд╕реЗ рдЕрдзрд┐рдХ рд╕рдВрдЦреНрдпрд╛ рд╣реИред

рдпрд╣рд╛рдБ рд╡рд╣ рд╣реИ рдФрд░ рдЙрд╕рдХреЗ рдХрд╛рдо рдХреА рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛:
рдЫрд╡рд┐
рдЗрд╕ рдкреВрд░реА рдЕрд░реНрдерд╡реНрдпрд╡рд╕реНрдерд╛ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдо рджреЛ рдРрд╕реЗ рдореЙрдбреНрдпреВрд▓ verilog рдкрд░ рд▓рд┐рдЦрддреЗ рд╣реИрдВ:

module add3(in,out);
input [3:0] in;
output [3:0] out;
reg [3:0] out;

always @ (in)
case (in)
4'b0000: out <= 4'b0000;
4'b0001: out <= 4'b0001;
4'b0010: out <= 4'b0010;
4'b0011: out <= 4'b0011;
4'b0100: out <= 4'b0100;
4'b0101: out <= 4'b1000;
4'b0110: out <= 4'b1001;
4'b0111: out <= 4'b1010;
4'b1000: out <= 4'b1011;
4'b1001: out <= 4'b1100;
default: out <= 4'b0000;
endcase
endmodule

module binary_to_BCD(A,ONES,TENS);
input [5:0] A;
output [3:0] ONES, TENS;

wire [3:0] c1,c2,c3;
wire [3:0] d1,d2,d3;

assign d1 = {1'b0,A[5:3]};
assign d2 = {c1[2:0],A[2]};
assign d3 = {c2[2:0],A[1]};
add3 m1(d1,c1);
add3 m2(d2,c2);
add3 m3(d3,c3);
assign ONES = {c3[2:0],A[0]};
assign TENS = {1'b0,c1[3],c2[3],c3[3]};
endmodule

рд╣рдо рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдореЗрдВ рджреЛ рдЯреБрдХрдбрд╝реЛрдВ рдореЗрдВ рдмрдЪрдд рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рд╕рдореНрдорд┐рд▓рд┐рдд рдХрд░рддреЗ рд╣реИрдВ:
рдЫрд╡рд┐
рдПрдХ рдШрдВрдЯреЗ рдХреЗ рд▓рд┐рдП рд╣реЛрдЧрд╛, рджреВрд╕рд░рд╛ рдорд┐рдирдЯреЛрдВ рдХреЗ рд▓рд┐рдПред

рдЕрдм рдЖрдкрдХреЛ рд╣рдорд╛рд░реЗ рд╕рдВрдХреЗрддрдХ рдкрд░ рдПрдХ рд╡рд┐рд╖рдпрд╛рдВрддрд░ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред
рдореИрдВрдиреЗ рд▓рд╛рдЗрдЯ-рдСрди ltc-4727js рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ред
рдбреЗрдЯрд╛рд╢реАрдЯ рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдЗрд╕рдореЗрдВ рдкреНрд░рддреНрдпреЗрдХ рдЕрдВрдХ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рд╛рдорд╛рдиреНрдп рдХреИрдереЛрдб рдХреЗ рд╕рд╛рде рдЦрдВрдб рд╣реЛрддреЗ рд╣реИрдВ + рдкреНрд░рддреНрдпреЗрдХ рдЕрдВрдХ рдХреЗ рдЦрдВрдбреЛрдВ рдХреЗ рдПрдиреЛрдб рдХреЗ рдЗрдирдкреБрдЯ рд╕рдВрдпреБрдХреНрдд рд╣реЛрддреЗ рд╣реИрдВред рдЗрд╕рдХрд╛ рдорддрд▓рдм рдпрд╣ рд╣реИ рдХрд┐ рд╣рдо рдПрдХ рдмрд╛рд░ рдореЗрдВ рдХреЗрд╡рд▓ 1 рдЕрдВрдХ рдкреНрд░рдХрд╛рд╢ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдЗрд╕рд╕реЗ рдХреЛрдИ рдлрд░реНрдХ рдирд╣реАрдВ рдкрдбрд╝рддрд╛, рд╣рдо рдЙрдиреНрд╣реЗрдВ рдПрдХ-рдПрдХ рдХрд░рдХреЗ рд░реЛрд╢рди рдХрд░реЗрдВрдЧреЗ, рд╣рдо рдХреЗрд╡рд▓ рдмрд╣реБрдд рдЬрд▓реНрджреА рд╕реНрд╡рд┐рдЪ рдХрд░реЗрдВрдЧреЗред рдЗрддрдиреА рддреЗрдЬреА рд╕реЗ рдХрд┐ рдЪрдХ рдиреЙрд░рд┐рд╕ рднреА рдЭрд┐рд▓рдорд┐рд▓рд╛рд╣рдЯ рдиреЛрдЯрд┐рд╕ рдирд╣реАрдВ рд╣реЛрдЧрд╛ ;-)
рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реЗ рд╕рдмрд╕реЗ рджрд┐рд▓рдЪрд╕реНрдк рднрд╛рдЧ:
рдЫрд╡рд┐
рдФрд░

рдореИрдВрдиреЗ рдЗрд╕реЗ рдХреИрд╕реЗ рдЬреЛрдбрд╝рд╛?
рд▓реЗрдХрд┐рди рдЗрди рдкрд┐рдиреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛:

рдЦрдВрдбреЛрдВ рдХреЗ рд╕рд╛рде, рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ, рд╕рдм рдХреБрдЫ рд╕реНрдкрд╖реНрдЯ рд╣реИ, рд▓реЗрдХрд┐рди рд░рд╣рд╕реНрдпрдордп рдЕрдВрдХ рдХреНрдпрд╛ рд╣реИ [4..0] ... рдпрд╣ рд╕рд░рд▓ рд╣реИ - рдЕрдВрдХ [4..1] -
рдпреЗ рд╣рдорд╛рд░реЗ рдЕрдВрдХ рд╣реИрдВ, рдФрд░ рдЕрдВрдХ [0] рд╕рд╣рд╛рдпрдХ рдЦрдВрдб рд╣реИрдВ (2 рдФрд░ 3 рдЕрдВрдХреЛрдВ рдХреЗ рдмреАрдЪ рдПрдХ рдмреГрд╣рджрд╛рдиреНрддреНрд░)ред рдореИрдВ рдЖрдкрдХреЛ рдмрддрд╛рддрд╛ рд╣реВрдБ, рд╕рдмрд╕реЗ рдореБрд╢реНрдХрд┐рд▓ рдмрд╛рдд рдпрд╣ рд╣реИ рдХрд┐ рд╕рдм рдХреБрдЫ рд╕рд╣реА рдврдВрдЧ рд╕реЗ рдХрдиреЗрдХреНрдЯ рдХрд░рдирд╛ рдФрд░ FPGA рдЖрдЙрдЯрдкреБрдЯ рдФрд░ рд╣рдорд╛рд░реЗ рдкрд┐рди рд╕реЗ рд╕рд╣реА рдврдВрдЧ рд╕реЗ рдореЗрд▓ рдЦрд╛рдирд╛ рд╣реИ!

рдЕрдм рд╣рдо рд╕рдВрдХреЗрддрдХ рдХреЗ рд▓рд┐рдП рдЖрдЙрдЯрдкреБрдЯ рддрдВрддреНрд░ рдХрд╛ рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХрд░реЗрдВрдЧреЗред
рдпрд╣ рд░рд╛рдХреНрд╖рд╕ рдбрд┐рдЬрд╛рдЗрди рдпрд╣ рдХрд░ рд░рд╣рд╛ рд╣реИ:

рдмрд╛рдИрдВ рдУрд░ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдмрд┐рди-рдЯреВ-рдмреАрд╕реАрдбреА рдХрдиреНрд╡рд░реНрдЯрд░реНрд╕ рд╣реИрдВред рдлреЛрдХрд╕ 5-рдЗрдирдкреБрдЯ 4-рдмрд┐рдЯ рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдкрд░ рд╣реИред рдкрд╣рд▓реЗ 4 рдЗрдирдкреБрдЯ рдирдВрдмрд░ рд╣реИрдВ, рдкрд╛рдВрдЪрд╡рд╛рдВ рдЗрдирдкреБрдЯ рдбреЙрдЯреНрд╕ (рдЬреЛ рдПрдХ рд╕реЗрдХрдВрдб рдореЗрдВ рдлреНрд▓реИрд╢ рд╣реЛрддрд╛ рд╣реИ) рдХреЛ рдЗрдЧреНрдиреЛрд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╣реИред рдиреАрдЪреЗ рдЖрдк рдПрдХ рдбрд╛рдпрдЧреНрд░рд╛рдо рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ рдЬреЛ 1111 рдпрд╛ 1110 рдХреЛ рдЗрд╕ рджреВрд╕рд░реЗ рдЗрдирдкреБрдЯ рдкрд░ рднреЗрдЬрддрд╛ рд╣реИред рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдХреЗ рдирд┐рдпрдВрддреНрд░рдг рдЗрдирдкреБрдЯ рдХреЗ рд▓рд┐рдП рдХреНрдпрд╛ рд╕рдВрдпреЛрдЬрди рдЖрддрд╛ рд╣реИ, рдЗрд╕рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдпрд╣ рдбрд┐рдХреЛрдбрд░ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рдмрд┐рдЯ рдХреЛ рдЖрдЙрдЯрдкреБрдЯ рдХрд░рддрд╛ рд╣реИ (рдбрд┐рд╡рд╛рдЗрд╕ рдЬрд┐рд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╣рдо рдереЛрдбрд╝реА рджреЗрд░ рдмрд╛рдж рд╡рд┐рдЪрд╛рд░ рдХрд░реЗрдВрдЧреЗ)ред рдЗрд╕рдХреЗ рдКрдкрд░ рдПрдХ рдРрд╕рд╛ рдЙрдкрдХрд░рдг рд╣реИ рдЬреЛ рд╡рд╛рдВрдЫрд┐рдд рдЦрдВрдб рдХреЛ рдкреНрд░рдХрд╛рд╢ рдореЗрдВ рд▓рд╛рдиреЗ рдХреЗ рд▓рд┐рдП "0" рдлреАрдб рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреИрдереЛрдб рдХрд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реИред рдпрд╣рд╛рдБ рдЙрдирдХреЗ рдЖрдЧреНрд░рд╣ рд╣реИрдВ:

module segment_select (in,out,sel);
input in;
output reg [4:0] out;
output reg [2:0] sel;

always @ (posedge in)
if (sel == 4)
sel = 0;
else sel = sel + 1;

always @(*)
case (sel)
0: out <= 5'b0zzzz;
1: out <= 5'bz0zzz;
2: out <= 5'bzz0zz;
3: out <= 5'bzzz0z;
4: out <= 5'bzzzz0;
default: out <= 5'bzzzzz;
endcase
endmodule

5'b0zzzz рдЬреИрд╕реЗ рд░рд┐рдХреЙрд░реНрдб рдкрд░ рдзреНрдпрд╛рди рджреЗрдВред рдпрд╣рд╛рдВ 5'b рдХрд╛ рдЕрд░реНрде рд╣реИ рдХрд┐ рд╣рдо рдмрд╛рдЗрдирд░реА рдлреЙрд░реНрдо рдореЗрдВ 5 рдмрд┐рдЯреНрд╕ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рддреЗ рд╣реИрдВ, 0 рд╢реВрдиреНрдп рд╕реНрддрд░ рд╣реИ, z рдЙрдЪреНрдЪ рдкреНрд░рддрд┐рд░реЛрдз рд╡рд╛рд▓реА рд╕реНрдерд┐рддрд┐ рд╣реИ (рд╡рд░реНрддрдорд╛рди рдкрд┐рди рдореЗрдВ рдкреНрд░рд╡рд╛рд╣ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ)ред рдФрд░ рдареАрдХ 0 рдХреНрдпреЛрдВ? рд╣рд╛рдВ, рдХреНрдпреЛрдВрдХрд┐ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдПрдХ рдЕрдВрдХ рдкрд░ рдПрдХ рд╕рд╛рдорд╛рдиреНрдп рдХреИрдереЛрдб рд╣реИ, рд╡рд░реНрддрдорд╛рди рдкреНрд░рд╡рд╛рд╣ рдПрдиреЛрдб рд╕реЗ рдХреИрдереЛрдб рддрдХ рдЬрд╛рддрд╛ рд╣реИ, рдпрд╛ 1 рд╕реЗ 0. рддрдХ рд╣рдо рдХреИрдереЛрдб рдкрд░ 0 рдФрд░ рдПрдиреЛрдб рдкрд░ 0 рд╕реЗрдЯ рдХрд░рддреЗ рд╣реИрдВред

рдЕрдм рдбрд┐рдХреЛрдбрд░ рдбрд┐рд╡рд╛рдЗрд╕:

module decoder_7seg (BCD, segA, segB, segC, segD, segE, segF, segG, segDP);

input [3:0] BCD;
output segA, segB, segC, segD, segE, segF, segG, segDP;
reg [7:0] SevenSeg;
always @(BCD)
case(BCD)
4'h0: SevenSeg = 8'b11111100;
4'h1: SevenSeg = 8'b01100000;
4'h2: SevenSeg = 8'b11011010;
4'h3: SevenSeg = 8'b11110010;
4'h4: SevenSeg = 8'b01100110;
4'h5: SevenSeg = 8'b10110110;
4'h6: SevenSeg = 8'b10111110;
4'h7: SevenSeg = 8'b11100000;
4'h8: SevenSeg = 8'b11111110;
4'h9: SevenSeg = 8'b11110110;
4'b1111: SevenSeg = 8'b11000000;
default: SevenSeg = 8'b00000000;
endcase


{segA, segB, segC, segD, segE, segF, segG, segDP} рдЕрд╕рд╛рдЗрди рдХрд░реЗрдВ = рд╕реЗрд╡реЗрдирд╕реЗрдЧ;
endmodule
рдпрд╣рд╛рдВ, рд╣рдореЗрдВ рдХрд┐рд╕ рдЖрдВрдХрдбрд╝реЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдЗрд╕рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдпрд╣ рдЪреБрдирд╛ рдЬрд╛рддрд╛ рд╣реИ рдХрд┐ рдХрд┐рд╕ рд╕реЗрдЧрдореЗрдВрдЯ рдореЗрдВ рдкреНрд░рдХрд╛рд╢ рдбрд╛рд▓рд╛ рдЬрд╛рдПред
рджреЛ рдмрд┐рдВрджреБ рд░реБрдЪрд┐ рдХреЗ рд╣реИрдВ - рдбрд┐рдлрд╝реЙрд▓реНрдЯ рдФрд░ рд╕рдВрдЦреНрдпрд╛ 1111ред рдЬрдм рд╣рдорд╛рд░рд╛ рдмреАрд╕реАрдбреА рджрд┐рдП рдЧрдП (1110, рдЬреЛ рдкрд╣рд▓реЗ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛) рд╕реЗ рдЕрд▓рдЧ рдореВрд▓реНрдп рд▓реЗрддрд╛ рд╣реИ, рддреЛ рд╕рднреА рдЦрдВрдб рдмрдВрдж рдХрд░ рджрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рдЬрдм 1111 рдпрд╣рд╛рдВ рдЖрддрд╛ рд╣реИ, рддреЛ рдП рдФрд░ рдмреА рдЦрдВрдбреЛрдВ рдХреЛ рдкреНрд░рдЬреНрд╡рд▓рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реЗ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рд╡реЗ рдмрд┐рдВрджреБ L1 рдФрд░ L2 рд╕реЗ рднреА рдЬреБрдбрд╝реЗ рд╣реИрдВред

рд╡рд╣, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╕рдм рд╣реИред
рд╣рдо рд╕рдм рдХреБрдЫ рдПрдХ рдвреЗрд░ рдореЗрдВ рдбрд╛рд▓рддреЗ рд╣реИрдВ , рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛, рдкрд┐рди рдЕрд╕рд╛рдЗрди рдХрд░рддреЗ рд╣реИрдВ, рд╕рдВрдХрд▓рди рдХрд░рддреЗ рд╣реИрдВ (рд╣рд╛рдБ, рд╣рдорд╛рд░реЗ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рдХрдВрдХрдбрд╝ рдХрд╛ 1% рд╕реЗ рднреА рдХрдо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ) рдФрд░ рдЗрд╕реЗ FPGA рдореЗрдВ рднрд░реЗрдВред

рдпрд╣рд╛рдБ рдкрд░рд┐рдпреЛрдЬрдирд╛ рд╣реИ:
ifolder.ru/24865983
рдЖрдкрдХреЛ рдЕрдкрдирд╛ FPGA, рдФрд░ рдкреБрди: рдЕрд╕рд╛рдЗрди рдкрд┐рди рдЪреБрдирдирд╛ рд╣реЛрдЧрд╛ред рд╕рдЪ рд╣реИ, рдореИрдВрдиреЗ PLL рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рд╣реИ ... рдЗрд╕рд▓рд┐рдП, рдЬрдм рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рджреВрд╕рд░реЗ FPGA рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдЖрдкрдХреЛ рдЕрдкрдиреА рдЗрдирдкреБрдЯ рдЖрд╡реГрддреНрддрд┐ рдХреЛ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреА (рдЗрд╕ рддрдереНрдп рдкрд░ рдирд╣реАрдВ рдХрд┐ рдЖрдкрдХреЗ рдкрд╛рд╕ рд╡рд╣рд╛рдВ 50 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╣реЛрдЧрд╛)ред
рдпреЗ рд░рд╣рд╛ рд╡реАрдбрд┐рдпреЛ:

www.youtube.com/watch?v=iUoiOO8wYls
рд╕реВрддреНрд░реЛрдВ рдХрд╛ рдХрд╣рдирд╛ рд╣реИ:
1) рд╕рдВрдХреЗрддрдХ рдкрд░ рдбреЗрдЯрд╛рд╢реАрдЯ:
pdf.eicom.ru/datasheets/lite-on_pdfs/ltc-4727js/ltc-4727js.pdf
2) BIN рд╕реЗ BCD рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рдг:
www.johnloomis.org/ece314/notes/devices/binary_to_BCD/bin_to_bcd.html
www.ece.msstate.edu/courses/ece4743/fall2007/Shift_add_3.pdf
3) рд╕реЗрдЧрдореЗрдВрдЯ рдореЗрдВ рдЖрдЙрдЯрдкреБрдЯ:
www2.engr.arizona.edu/~rlysecky/courses/ece274-07f/labs/lab4.pdf
we.easyelectronics.ru/Shematech/dinamicheskaya-indikaciya_2.html
www.fpga4fun.com/Opto4.html
4) рд╡рд┐рдХреЛрдбрдХ:
www.fpga4fun.com/Opto3.html

рдЖрдкрдХрд╛ рдзреНрдпрд╛рди рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж, рдореБрдЭреЗ рдЖрд╢рд╛ рд╣реИ рдХрд┐ рдХреЛрдИ рдХрд╛рдо рдЖрддрд╛ рд╣реИ!
рдпреБрдкреАрдбреА
рд╕рднреА рдХреЛ рдЯрд┐рдкреНрдкрдгрд┐рдпреЛрдВ рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж!
рдореБрдЭреЗ рдирд╣реАрдВ рдкрддрд╛ рдХрд┐ рдпрд╣ рдЗрд╕ рдмреНрд▓реЙрдЧ рдкрд░ рдХреИрд╕реЗ рдирд┐рдХрд▓рд╛ред рдореИрдВ рдорд╛рдирджрдВрдбреЛрдВ рдХреЗ рдЪрд┐рддреНрд░реЛрдВ рдХреЛ рднрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рдерд╛, рдХреБрдЫ рдХрд╛ рд░реАрдореЗрдХ рдмрдирд╛рдирд╛ рдЪрд╛рд╣рддрд╛ рдерд╛ ... рд▓реЗрдХрд┐рди рдЖрдЬ рдореИрдВ рд╣реИрдмрд░ рдирд╣реАрдВ рдЧрдпрд╛!
рдПрдХ рд╡рд┐рдЪрд╛рд░ рд╣реИ рдХрд┐ рдмрд╛рд╣рд░ рд╕реЗ рд╕рдордп рдХреИрд╕реЗ рдирд┐рдХрд╛рд▓рдирд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рдПрдирдЯреАрдкреА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗред
рд╣реЛрд╕реНрдЯрд┐рдВрдЧ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ - рдореБрдЭреЗ рд╡рд╣рд╛рдВ рдкрд░ рдкреАрдПрдо рдХреА рд╕рд▓рд╛рд╣ рджреА рдЧрдИред
рдпрд╣ рдореЗрд░рд╛ рдкрд╣рд▓рд╛ рд╡рд┐рд╖рдп рд╣реИ, рдЗрд╕ рдЕрдиреБрднрд╡ рд╕реЗ рдкрд╣рд▓реЗ рдХреЛрдИ рдкреНрд░рдХрд╛рд╢рди рдирд╣реАрдВ рдереЗ, рдЪрд┐рддреНрд░реЛрдВ рдХреЛ рдкрд╣рд▓реА рд╣реЛрд╕реНрдЯрд┐рдВрдЧ рдореЗрдВ рдЕрдкрд▓реЛрдб рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ рдЬреЛ рдореБрдЭреЗ рдпрд╛рдж рдерд╛ред
рдореИрдВ рдЕрднреА рдЕрдкрдиреЗ рдЧреГрд╣рдирдЧрд░ рдореЗрдВ рдФрд░ рд╕рд╛рдорд╛рдиреНрдп рдЗрдВрдЯрд░рдиреЗрдЯ рдХреЗ рд╕рд╛рде рд╕рдорд╛рдкреНрдд рд╣реБрдЖред рдХрд▓ рдореИрдВ рдЗрдЪреНрдЫрд╛ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдХрдЯреВрдВрдЧрд╛!

Source: https://habr.com/ru/post/In125364/


All Articles