рдПрдХ рдмрд╛рд░ рдлрд┐рд░ WOL рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ

рдЖрдкрдХреЛ рдиреЗрдЯрд╡рд░реНрдХ рдкрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдЪрд╛рд▓реВ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред рдФрд░ рд╡реЗрдХ-рдСрди-рд▓реИрди рдХрд╛рдо рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред
рдкреНрд░рд╕реНрддрд╛рд╡рд┐рдд рд╡рд┐рдзрд┐ рд╕рд╛рд░реНрд╡рднреМрдорд┐рдХ рд╣реИ, рд▓реЗрдХрд┐рди рдЧреИрд░-рдорд╛рдирдХ рд╣реИ рдФрд░ рд░рдЪрдирд╛рддреНрдордХ рдЖрд╢рд╛рд╡рд╛рдж рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рдХрд╛ рдЕрд░реНрде рд╣реИ "рдХреЛрдИ рдлрд░реНрдХ рдирд╣реАрдВ рдкрдбрд╝рддрд╛ред"

рдореЗрд░реЗ рдкрд╛рд╕ рдПрдХ рдмрд╛рд░ FTP рд╕рд░реНрд╡рд░ рдерд╛ред рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдпрд╣ 2004 рдХрд╛ рдПрдХ рдирд┐рдпрдорд┐рдд рдХрдВрдкреНрдпреВрдЯрд░ рдерд╛ред рдпрд╣рд╛рдВ рдмрд┐рдВрджреБ 7 рдореЗрдВ, рдореИрдВрдиреЗ рд▓рд┐рдЦрд╛ рд╣реИ рдХрд┐ рд░рд╛рдЙрдЯрд░ рдХреЗ рдПрдЖрд░рдкреА рдЯреЗрдмрд▓ рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рдиреЗ рдореЗрдВ рдРрд╕реА рдПрдХ рд╡реАрдУрдПрд▓ рдФрд░ рд╕реВрдХреНрд╖реНрдорддрд╛ рд╣реИ рддрд╛рдХрд┐ рдпрд╣ рди рднреВрд▓реЗрдВ рдХрд┐ рдпрд╣ рдХрдВрдкреНрдпреВрдЯрд░ рдХрд┐рд╕ рдкреЛрд░реНрдЯ рдкрд░ рд▓рдЯрдХрд╛ рд╣реИ (рдХрдВрдкреНрдпреВрдЯрд░ рдХреА рд╢рдХреНрддрд┐ рдмрдВрдж рд╣реЛрдиреЗ рдХреЗ рдмрд╛рдж)ред рдФрд░ рдРрд╕рд╛ рд╣реБрдЖ рдХрд┐ рдореБрдЭреЗ рдЗрд╕ рд╕рднреА рд╡реНрдпрд╡рд╕рд╛рдп рд╕реЗ рдХреЛрдИ рд╕рдорд╕реНрдпрд╛ рдирд╣реАрдВ рдереА - BIOS рдореЗрдВ рд╕рдВрдЧрдд рд╡рд┐рдХрд▓реНрдк рдЪрд╛рд▓реВ рдерд╛ рдФрд░ рд╕рд░реНрд╡рд░ рджрд┐рди рдпрд╛ рд░рд╛рдд рдХреЗ рдХрд┐рд╕реА рднреА рд╕рдордп рддреЗрдЬ рд╣реЛ рдЧрдпрд╛ рдерд╛, рдЬрдм рдмрд┐рдЬрд▓реА рдПрдХ рдмрд╛рд░ рдпрд╛ рдЙрд╕рд╕реЗ рдЕрд▓рдЧ рдирд╣реАрдВ рд╣реБрдИ рдереАред
рд▓реЗрдХрд┐рди рд╕рднреА рдЕрдЪреНрдЫреА рдЪреАрдЬреЗрдВ рд╣рдореЗрд╢рд╛ рд╕рдорд╛рдкреНрдд рд╣реЛрддреА рд╣реИрдВ: рдпрд╛ рддреЛ рдЖрдкрдХреЛ рдЗрд╕рдХреА рдЖрджрдд рд╣реЛ рдЬрд╛рддреА рд╣реИ, рдпрд╛ рдпрд╣ рдЧрд╛рдпрдм рд╣реЛ рдЬрд╛рддреА рд╣реИред рдХрдВрдкреНрдпреВрдЯрд░ рдореБрдЭрд╕реЗ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ ...
рд╣рд╛рд▓рд╛рдБрдХрд┐, рд╣рд╛рд▓ рд╣реА рдореЗрдВ рдЗрд╕рдХреЗ рдПрдлрд╝рдЯреАрдкреА рдХреЗ рд▓рд┐рдП рдлрд┐рд░ рд╕реЗ рдПрдХ рдЖрд╡рд╢реНрдпрдХрддрд╛ рдЙрддреНрдкрдиреНрди рд╣реБрдИред рдФрд░ рднрд╡рд┐рд╖реНрдп рдореЗрдВ рдореИрдВ рдЕрднреА рднреА рдЙрд╕ рдкрд░ рдХреБрдЫ рд▓рдЯрдХрд╛ рджреЗрдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реВрдВ, рдореИрдВрдиреЗ рдЗрди рдЙрджреНрджреЗрд╢реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдПрдХ рдирдП рдЕрдкреЗрдХреНрд╖рд╛рдХреГрдд рдЙрддреНрдкрд╛рджрдХ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдЗрдХрдЯреНрдард╛ рдХрд░рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдФрд░ рдЕрдм, рдерд░реНрдорд╕рдЯреЗрдХ VK7272N2E SD200 рдкреИрдХреЗрдЬ рдореЗрдВ ASUS P8H77-M + Intel┬о Core тДв i3-2105 + 2 x 4Gb Corsair Vengeance CMZ4GX3M1A1600C9B рдкреНрд▓реЗрдЯрдлреЙрд░реНрдо SD200 рдкреИрдХреЗрдЬ рдореЗрд░реА рдЬрдЧрд╣ рдкрд░ рджрд┐рдЦрд╛рдИ рджрд┐рдпрд╛ред рдорд╛рдорд▓реЗ рдХреА рд╕реНрдЯрд╛рдЗрд▓рд┐рд╢ рдЙрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рд▓рд┐рдП рдШрд░ рдХреЗ рдЬрдВрдЧрд▓реА рдЙрддреНрд╕рд╛рд╣ рдХреЗ рдмрд╛рдж, рдХрдВрдкреНрдпреВрдЯрд░ рдмрд░реНрдЦрд╛рд╕реНрдд рдХрд┐рдП рдЧрдП рд╡реАрдПрдЪрдПрд╕ + рдбреАрд╡реАрдбреА рдХреЗ рд╕рдВрдпреЛрдЬрди рдХреЗ рдмрдЬрд╛рдп рдЯреАрд╡реА рдХреЗ рдиреАрдЪреЗ рдЫрд╛рдпрд╛ рд╣реБрдЖ рдерд╛, рдЬреЛ 2004 рд╕реЗ рд╕реНрдерд╛рдпреА рд░реВрдк рд╕реЗ рд╡рд╣рд╛рдВ рдореМрдЬреВрдж рд╣реИред рдПрдХ рдкреНрд░рдпреЛрдЧ рдХреЗ рд░реВрдк рдореЗрдВ, рдореИрдВрдиреЗ рдЕрдкрдиреЗ рдЬреАрд╡рди рдХреЛ рдЬрдЯрд┐рд▓ рдмрдирд╛рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ рдФрд░ рдЗрд╕ рд╕рд░реНрд╡рд░ рдкрд░ рд╡рд┐рдВрдбреЛрдЬ рдбрд╛рд▓ рджрд┐рдпрд╛, рдФрд░ VMWare рдЕрдкрдиреЗ рддреАрди рдЖрднрд╛рд╕реА рдХрдВрдкреНрдпреВрдЯрд░реЛрдВ рдХреЗ рд╕рд╛рде рд╡рд┐рдВрдбреЛрдЬ рдореЗрдВ рдЖ рдЧрдпрд╛ред рдЖрднрд╛рд╕реА рдХрдВрдкреНрдпреВрдЯрд░реЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдФрд░ рдПрдХ рдирдпрд╛ рдПрдлрд╝рдЯреАрдкреА рд╕рд░реНрд╡рд░ рдмрди рдЧрдпрд╛ рд╣реИред рд░рд╛рдЙрдЯрд░ рдФрд░ рд╡рд░реНрдЪреБрдЕрд▓ рдиреЗрдЯрд╡рд░реНрдХ рд╡рд╛рддрд╛рд╡рд░рдг VMWare рдореЗрдВ рдмрдВрджрд░рдЧрд╛рд╣реЛрдВ рдХреЛ рдЕрдЧреНрд░реЗрд╖рд┐рдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдПрдлрд╝рдЯреАрдкреА рд╕рд░реНрд╡рд░ рдиреЗ рдареАрдХ рд╕реЗ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдФрд░ рдХрд╛рдо рдХрд░рдирд╛ рд╢реБрд░реВ рдХрд░ рджрд┐рдпрд╛ред


рдпрд╣рд╛рдБ, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ, рдореБрдЭреЗ WOL рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдпрд╛рдж рдЖрдпрд╛, рд░рд╛рдЙрдЯрд░ рдкрд░ рдлрд┐рдХреНрд╕реНрдб рдкреЛрд░реНрдЯ рдлреЙрд░рд╡рд░реНрдбрд┐рдВрдЧ, рдПрдВрдбреНрд░реЙрдЗрдб рдХреЗ рд▓рд┐рдП "рд╡реЗрдХ рдСрди рд▓реИрди" рдкреНрд░реЛрдЧреНрд░рд╛рдо рдбрд╛рдЙрдирд▓реЛрдб рдХрд┐рдпрд╛ (рдореИрдВ рд╡реЗрдХреЙрдирд▓рд╛рди.ru рд╕реЗрд╡рд╛ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рдерд╛)ред рд╕рднреА рдЕрдЪреНрдЫреА рдмрд╛рддреЗрдВ рдпрд╛рдж рд░рдЦреЗрдВ? рдФрд░ рдЗрд╕рд▓рд┐рдП, рдПрдХ рджрд┐рди рдореИрдВ рдЗрд╕ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рджреВрд░рд╕реНрде рд░реВрдк рд╕реЗ рдЪрд╛рд▓реВ рдирд╣реАрдВ рдХрд░ рд╕рдХрд╛ред рдореИрдВ рдЕрдкрдиреЗ рд╕рд╛рде 130GB рдирд╣реАрдВ рд▓реЗ рдЬрд╛ рд╕рдХрд╛, рдХрд┐рд╕реА рднреА рддрд░рд╣ рд╕реЗ, рдФрд░ рдЗрд╕рд▓рд┐рдП рдмрд╣реБрдд рджреБрдЦреА рдерд╛ред рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рд╕рд╛рдорд╛рдиреНрдп рдФрд░ ASUS рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдореЗрдВ WOL рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рдбрд┐рдЬрд╛рдЗрдирд░реЛрдВ рдХреЗ рд▓рд┐рдП рдмреБрд░реЗ рд╢рдмреНрдж рдЧрдПред рдЕрдЧрд░ рдХрд┐рд╕реА рдиреЗ рдЙрд╕ рджрд┐рди рдЬреЛрд░рджрд╛рд░ рд╣рд┐рдЪрдХреА рд▓реА рддреЛ рдореИрдВ рдорд╛рдлреА рдорд╛рдВрдЧрддрд╛ рд╣реВрдВ, рд▓реЗрдХрд┐рди рдореИрдВ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рджреБрдЦреА рдерд╛ред рдбреАрдмреНрд░реАрдлрд┐рдВрдЧ рдХреЗ рдмрд╛рдж, рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рдХрд┐ рдЗрд╕ рдорджрд░рдмреЛрд░реНрдб рдХреЛ рдХрдо рд╕реЗ рдХрдо рдПрдХ рдмрд╛рд░ рдЪрд╛рд▓реВ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рддрд╛рдХрд┐ рдмрд╛рдж рдореЗрдВ рдпрд╣ WOL рдкрд░ рдЬрд╛рдЧ рдЬрд╛рдПред рдФрд░ рдЕрдЧрд░ рдореИрдВ рдШрд░ рдкрд░ рдирд╣реАрдВ рд╣реВрдВ, рдФрд░ рдмрд┐рдЬрд▓реА рдмрдВрдж рд╣реЛ рдЧрдИ (рдЙрдиреНрд╣реЛрдВрдиреЗ рджрд┐рд╕рдВрдмрд░ 2012 рдХреЗ рдЕрдВрдд рддрдХ рдкреНрд░рдХрд╛рд╢ рдмрдВрдж рдХрд░рдиреЗ рдХрд╛ рд╡рд╛рджрд╛ рдХрд┐рдпрд╛)? рдпрд╣реА рд╣реИ, рджреВрд░рд╕реНрде рд░реВрдк рд╕реЗ рдореИрдВ рдХрд┐рд╕реА рднреА рддрд░рд╣ рд╕реЗ рдХрдВрдкреНрдпреВрдЯрд░ рдЪрд╛рд▓реВ рдирд╣реАрдВ рдХрд░ рд╕рдХрддрд╛ред BIOS рдореЗрдВ рдПрдХ рдкрд╛рд╡рд░ рд░рд┐рдХрд╡рд░реА рдлрд╝рдВрдХреНрд╢рди рд╣реИ, рдЕрд░реНрдерд╛рддред рдпрджрд┐ рдХрдВрдкреНрдпреВрдЯрд░ рддрдм рдЪрд╛рд▓реВ рд╣реЛрддрд╛ рд╣реИ рдЬрдм рдмрд┐рдЬрд▓реА рдХреА рд╡рд┐рдлрд▓рддрд╛ рд╣реЛрддреА рд╣реИ, рддреЛ рдмрд┐рдЬрд▓реА рджрд┐рдЦрд╛рдИ рджреЗрдиреЗ рдХреЗ рдмрд╛рдж, рдХрдВрдкреНрдпреВрдЯрд░ рд╕реНрд╡рдпрдВ рд╢реБрд░реВ рд╣реЛ рдЬрд╛рдПрдЧрд╛ред рдФрд░ рдЕрдЧрд░ рдЗрд╕реЗ рдмрдВрдж рдХрд░ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛? рд╣рд╛рдВ, рдирд┐рдХрдЯ рднрд╡рд┐рд╖реНрдп рдореЗрдВ, рдПрдХ рдФрд░ рдЖрднрд╛рд╕реА рдорд╢реАрди рдзрд╛рд░ рдХреЗ рдиреАрдЪреЗ рд╣реЛрдЧреА рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдШрдбрд╝реА рдХреЗ рдЪрд╛рд░реЛрдВ рдУрд░ рдХрд╛рдо рдХрд░реЗрдЧрд╛, рд▓реЗрдХрд┐рди рдпрд╣ рд╕рдм рдХреБрдЫ рдЕрд╡реНрдпрд╡рд╕реНрдерд╛ рдХрд╛ рдПрдХ рд░реВрдк рд╣реИред
рдореБрдЭреЗ рдЗрд╕ рдореБрджреНрджреЗ рдкрд░ рдЗрдВрдЯрд░рдиреЗрдЯ рдФрд░ рдЬрд╛рдирдХрд╛рд░ рд▓реЛрдЧреЛрдВ рдореЗрдВ рджрд┐рд▓рдЪрд╕реНрдкреА рдереАред рдЬрд╛рдирдХрд╛рд░ рдЖрдорддреМрд░ рдкрд░ рдЕрдзрд┐рдХ рдЧрдВрднреАрд░ рдиреЗрдЯрд╡рд░реНрдХ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдпрд╣ рдирд╣реАрдВ рдмрддрд╛ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ рдХреИрд╕реЗ рдореБрдбрд╝реЗрдВред рдЗрддрдирд╛ рд╣реА рдирд╣реАрдВ! рдХрдИ рдиреЗ рдореБрдЭреЗ рдмрддрд╛рдпрд╛ рдХрд┐ рдпрд╣ рд╕рд╛рдорд╛рдиреНрдп рд╣реИ, рдЕрд░реНрдерд╛рддреНред рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдпрд╣ рдЬрд╛рдирдиреЗ рдХреА рдЬрд░реВрд░рдд рд╣реИ рдХрд┐ рдХрд┐рд╕ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдЪрд╛рд▓реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдорд╛рдВрдб рдХрд╛ рдЗрдВрддрдЬрд╛рд░ рдХрд░рдирд╛ рд╣реИред рдФрд░ рдХрд╛рдлреА рд▓реЛрдЧреЛрдВ рдиреЗ рдореБрдЭреЗ рдЖрд╢реНрд╡рд╛рд╕рди рджрд┐рдпрд╛ рдХрд┐ рдХрдВрдкреНрдпреВрдЯрд░ рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рддрднреА рдЙрдареЗрдЧрд╛ рдЬрдм рдЗрд╕реЗ "рд╕реНрдЯрд╛рд░реНрдЯ" (???) рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЪрд╛рд▓реВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдореИрдВ рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░ рдЙрдирдХреЗ рджреНрд╡рд╛рд░рд╛ рдХрд╣реА рдЧрдИ рдмрд╛рддреЛрдВ рдХреЛ рдпрд╛рдж рдирд╣реАрдВ рд░рдЦреВрдВрдЧрд╛, рд▓реЗрдХрд┐рди рдореИрдВрдиреЗ рдЦреБрд▓рдХрд░ рдорд╕реНрддрд╡рдореА рдХреЗ рд╕рд╛рде рдорд╕реНрддреА рдХреАред

рд╕рд╡рд╛рд▓ рдЙрдарддрд╛ рд╣реИ: рдХреНрдпрд╛ рдХрд░рдирд╛ рд╣реИ?
рд╡рд┐рдЪрд╛рд░реЛрдВ рдХрд╛ рдПрдХ рд╕рдореВрд╣ рдерд╛ред рдХреБрдЫ "рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рдЬрд╛рдЧреГрддрд┐" рдкреНрд░рд╛рдЪреАрди рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ рд╡рд╛рдкрд╕ рд▓реЗрдиреЗ рдпреЛрдЧреНрдп рд╕рд╛рдЗрдбрдЗрд░реЛрдо рдХреЗ рд╡рд┐рдЪрд╛рд░ рд╕реЗ, рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ рдкрд╛рд╡рд░ рдмрдЯрди рдХреЗ рдХрдиреЗрдХреНрдЯрд░ рдореЗрдВ рдХреБрдЫ рд▓реЙрдЬрд┐рдХ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдиреЗрдЯрд╡рд░реНрдХ рдЪрд┐рдк рдХреЗ WOL рд╕рд┐рдЧреНрдирд▓ рдХреЛ рдЖрдЙрдЯрдкреБрдЯ рдХрд░рдиреЗ рдХреЗ рд╡рд┐рдЪрд╛рд░ рд╕реЗред рддрддреНрд╡ рдЖрдзрд╛рд░ рд╕реНрддрд░ рдкрд░ рдХрд╛рдо рдХрд░рдиреЗ рдХреА рд╕рдорд╕реНрдпрд╛ рдпрд╣ рд╣реИ рдХрд┐ рдШрд░ рдкрд░ рдХреЛрдИ рдЖрд╕реНрдЯрд╕реАрд▓рд╕реНрдХрдк рдирд╣реАрдВ рд╣реИ (C1-112A рдирд╣реАрдВ рдорд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИ)ред рд▓реЗрдХрд┐рди рдлрд┐рд░ рдореИрдВрдиреЗ рдЕрдкрдиреА рднреВрдЦ рдХреЛ рд╢рд╛рдВрдд рдХрд┐рдпрд╛ рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕реЗ рд╕реНрд╡рддрдВрддреНрд░ рдирд┐рд░реНрдгрдп рд▓реЗрдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдбрд┐рдмреНрдмреЗ рдореЗрдВ, рдПрдХ рдбреА-рд▓рд┐рдВрдХ рдбреАрдЬреАрдИ -528 рдЯреА рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рдорд┐рд▓рд╛ред рдЗрд╕рдореЗрдВ рдЕрджреНрднреБрдд рд╕рдлрд╝реЗрдж WOL рдХрдиреЗрдХреНрдЯрд░ рд╣реИред рдпрджрд┐ рдЖрдк рдкрд┐рдирдЖрдЙрдЯ рдХреЛ рджреЗрдЦрддреЗ рд╣реИрдВ, рддреЛ рдХрдиреЗрдХреНрдЯрд░ рдореЗрдВ рддреАрди рд╕рд┐рдЧреНрдирд▓ рд╣реЛрддреЗ рд╣реИрдВ: + 5VSB, GND, рд░рд┐рдВрдЧред рдХрдИ рдкреБрд░рд╛рдиреЗ рдорджрд░рдмреЛрд░реНрдб рдкрд░, рдПрдХ рд╣реА рдХрдиреЗрдХреНрдЯрд░ рднреА рдореМрдЬреВрдж рдереЗ, рдЕрдм рд╡реЗ рдЗрд╕ рдкреНрд░рд╡реГрддреНрддрд┐ рд╕реЗ рджреВрд░ рдЬрд╛ рд░рд╣реЗ рд╣реИрдВред рдЬрд╛рд╣рд┐рд░ рд╣реИ, рдиреЗрдЯрд╡рд░реНрдХ рдХреЛ рд╕реНрд╡рд╛рдпрддреНрдд рд░реВрдк рд╕реЗ рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рдХреА рдЖрдкреВрд░реНрддрд┐ рдХреА рдЬрд╛рддреА рд╣реИред рдЬрдм рдкреЙрд╡рд░ рдмрдЯрди рджрдмрд╛рдпрд╛ рдЬрд╛рддрд╛ рдерд╛ рдпрд╛ рд░рд┐рдВрдЧ рд╕рд┐рдЧреНрдирд▓ рдЖрдиреЗ рдХреЗ рдмрд╛рдж рдХрдВрдкреНрдпреВрдЯрд░ рд╕реНрд╡рдпрдВ рдЪрд╛рд▓реВ рд╣реЛ рдЬрд╛рддрд╛ рдерд╛ред ASUS P8H77-M рдкрд░ рдРрд╕рд╛ рдХреЛрдИ рдХрдиреЗрдХреНрдЯрд░ рдирд╣реАрдВ рд╣реИ!
рдФрд░ рдпрд╣рд╛рдБ рдмрд╣реБрдд рдХрд╛рдо рдЖрдпрд╛ рдмреЛрд░реНрдб рдкрд░ рдПрдлрдкреАрдЬреАрдП рдХреЗ рд╕рд╛рде рдореБрдХреНрдд рдордВрдЧрд▓ рд░реЛрд╡рд░ рдмреЛрд░реНрдбред рдореИрдВрдиреЗ рдХрд┐рд╕реА рднреА рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ рд▓рд┐рдП рдЖрдЬреАрд╡рди рд╕рд╛рд░реНрд╡рднреМрдорд┐рдХ рд╕рдорд╛рдзрд╛рди рдмрдирд╛рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ (рдпрд╣ рднреА рдЪреБрдирд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ):
1) рдХрдВрдкреНрдпреВрдЯрд░ рдореЗрдВ рдмреЛрд░реНрдб рдкрд░ WOL рдХрдиреЗрдХреНрдЯрд░ рдХреЗ рд╕рд╛рде рдХреЛрдИ рднреА рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рдбрд╛рд▓реЗрдВ;
2) рдХрдВрдкреНрдпреВрдЯрд░ рдореЗрдВ рдХрд╣реАрдВ рд╣рдо FPGA рдХреЗ рд╕рд╛рде рдмреЛрд░реНрдб рдХреЛ рддреЗрдЬ рдХрд░рддреЗ рд╣реИрдВ;
3) рд╣рдо рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдХреЛ WOL рдХреЗ рд▓рд┐рдП FPGA рдХрд╛рд░реНрдб рд╕реЗ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ;
4) рд╣рдо рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рдФрд░ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдкрд░ рдирд┐рд░реНрдорд┐рдд рд╡рд┐рд╢реЗрд╖ рддрд╛рд░реЛрдВ рдХреЗ рд╕рд╛рде WOL рдХрдиреЗрдХреНрдЯрд░реНрд╕ рдХрдиреЗрдХреНрдЯ рдХрд░рддреЗ рд╣реИрдВ, рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдХреЛ рдорджрд░рдмреЛрд░реНрдб рдХреЗ рдкрд╛рд╡рд░ рдмрдЯрди рдФрд░ PWR_BUT рдХрдиреЗрдХреНрдЯрд░ рд╕реЗ рдХрдиреЗрдХреНрдЯ рдХрд░рддреЗ рд╣реИрдВ, рдФрд░ рдЗрд╕ рдмреЛрд░реНрдб рдкрд░ + 5VSB рдкрд╛рд╡рд░ рднреА рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред
рдЗрд╕ рдкреНрд░рдХрд╛рд░, рд╣рдо рдХрд╛рд░реНрдпреЛрдВ рдХреА рд╕реАрдорд╛ рдХреЛ рд░реЗрдЦрд╛рдВрдХрд┐рдд рдХрд░рддреЗ рд╣реИрдВ:
1) FPGA рдХреЛ рдлрд░реНрдорд╡реЗрдпрд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ рдЬреЛ рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдкрд╛рд╡рд░ рдмрдЯрди рд╕реЗ рд╕рд┐рдЧреНрдирд▓ рдФрд░ рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рд╕реЗ рд░рд┐рдВрдЧ рд╕рд┐рдЧреНрдирд▓ рд╕реЗ рдкреВрдЫрддрд╛рдЫ рдХрд░реЗрдЧрд╛ рдФрд░ рдпрджрд┐ рдкрддрд╛ рдЪрд▓рд╛ рддреЛ рдорджрд░рдмреЛрд░реНрдб рдкрд░ PWR_BUT рдХрдиреЗрдХреНрдЯрд░ рдХреЛ рд╕рд┐рдЧреНрдирд▓ рджреЗрдЧрд╛;
2) рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдХреЛ FPGA рдмреЛрд░реНрдб рдХреЛ рдкрд╛рд╡рд░ рд╕рдкреНрд▓рд╛рдИ рдХрд░рдиреА рдЪрд╛рд╣рд┐рдП (рд╣рдордиреЗ рд╕реНрдЯреЗрдмрд▓рд╛рдЗрдЬрд░ рдХреЛ + 3.3V рдкрд░ рд╕реЗрдЯ рдХрд┐рдпрд╛ рд╣реИ), рдФрд░ рд╕рд┐рд░реНрдл рдорд╛рдорд▓реЗ рдореЗрдВ WOL рдФрд░ PWR_BUT рдХреЗ рд▓рд┐рдП рдЕрд▓рдЧ-рдерд▓рдЧ рд╣реЛрдирд╛ рдЕрдЪреНрдЫрд╛ рд╣реЛрдЧрд╛ (рд╣рдо рдСрдкреНрдЯреЛрдкреНрд▓реЗрд░реНрд╕ рдХреЗ рдПрдХ рдЬреЛрдбрд╝реЗ рдХреЛ рдбрд╛рд▓рддреЗ рд╣реИрдВ);
3) рдЖрдкрдХреЛ рдХреЗрдмрд▓ рдмрдирд╛рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ: WOL рдХреЗ рд▓рд┐рдП 3-рддрд╛рд░ (2.54 рдХреА рд╡реЗрддрди рд╡реГрджреНрдзрд┐ рдореЗрдВ FPGA рддрд░рдл рдХрдиреЗрдХреНрдЯрд░ рдХреЗ рд╕рд╛рде, рдФрд░ рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб 2 рдорд┐рдореА рдХреА рддрд░рдл), PWR_BUT (2.54 рдХреА рд╡реЗрддрди рд╡реГрджреНрдзрд┐ рдореЗрдВ 2 рдХрдиреЗрдХреНрдЯрд░) рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП 2-рддрд╛рд░, рдмрд┐рдЬрд▓реА рдХреЗ рд▓рд┐рдП 2-рддрд╛рд░ (1 рдХрдиреЗрдХреНрдЯрд░ рдХреЗ рд╕рд╛рде) 2.54 рдХрджрдо, рдФрд░ рдорджрд░рдмреЛрд░реНрдб рдХреЗ рдкрд╛рд╡рд░ рдХрдиреЗрдХреНрдЯрд░ рдХреЛ рдХрд┐рд╕реА рднреА рддрд░рд╣ рджреВрд╕рд░реЗ рдЫреЛрд░ рдХреЛ рд╕рдВрд▓рдЧреНрди рдХрд░реЗрдВ - рдЬреАрдПрдирдбреА рдФрд░ + 5 рд╡реАрдПрд╕рдмреА рддрд╛рд░реЛрдВ рдХреЛ)ред

рд░реБрдХреЛрд╡рд╛ рдХреЛ рд▓реБрдврд╝рдХрд╛ рджрд┐рдпрд╛ред
рдореИрдВрдиреЗ рдЖрдзреЗ рдШрдВрдЯреЗ рдореЗрдВ рдлрд░реНрдорд╡реЗрдпрд░ рд▓рд┐рдЦрд╛ред рдлрд░реНрдорд╡реЗрдпрд░ рдЖрдо рд╣реИ: рджреЛ рдмрдВрджрд░рдЧрд╛рд╣реЛрдВ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗ рдкреВрдЫрддрд╛рдЫ рдХрд░реЗрдВ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рддреАрд╕рд░реЗ рдмрдВрджрд░рдЧрд╛рд╣ рдХреЗ рд▓рд┐рдП рдПрдХ рдЖрдзрд╛-рджреВрд╕рд░реА рдкрд▓реНрд╕ред рдореИрдВрдиреЗ рдкрд╛рд╡рд░ рдмрдЯрди рдХреА рдЙрдЫрд╛рд▓ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрд╛, рдХреЗрд╡рд▓ рд░рд┐рдВрдЧ рд╕рд┐рдЧреНрдирд▓ рдХреЗ рд╕рд╛рдордиреЗ рдХрд╛ рдкрддрд╛ рд▓рдЧрд╛рдпрд╛, рдПрдХ рджрд┐рди рддрдХ рдХреА рд░реЗрдВрдЬ рдФрд░ рдЕрдиреНрдп рдЫреЛрдЯреА рдЪреАрдЬреЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рдЯреАрдХ рд╕рдордп рдЬрдирд░реЗрдЯрд░ рдмрдирд╛рдпрд╛ - рдореБрдЭреЗ рдЬрд┐рддрдирд╛ рдордЬрд╝рд╛ рдЖ рд╕рдХрддрд╛ рдерд╛) рдлрд░реНрдорд╡реЗрдпрд░ рдкрд╣рд▓реЗ рд╡рд┐рдХрд▓реНрдк рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдмрд╣реБрдд рднрд╛рд░реА рдирд┐рдХрд▓рд╛, рд▓реЗрдХрд┐рди рдлрд┐рд░ рднреА FPGA рдХрд╛ рдЖрдзрд╛ рд╣рд┐рд╕реНрд╕рд╛ рдЕрднреА рднреА рд╕реНрд╡рддрдВрддреНрд░ рдмрдирд╛ рд░рд╣рд╛ред рдПрдХ рд╕рд╛рд░реНрд╡рднреМрдорд┐рдХ рд╕рдордп рдЬрдирд░реЗрдЯрд░ рджреНрд╡рд╛рд░рд╛ рдмрд╣реБрдд рд╕рд╛рд░реЗ рд╕реНрдерд╛рди рдХрд╛ рдЙрдкрднреЛрдЧ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЬреЛ рдПрдХ рдореЙрдбреНрдпреВрд▓ рдХреЗ рд░реВрдк рдореЗрдВ рдкрд░рд┐рдпреЛрдЬрдирд╛ рд╕реЗ рдЬреБрдбрд╝рд╛ рдерд╛ред
рдореИрдВрдиреЗ рдЖрдзреЗ рдШрдВрдЯреЗ рдореЗрдВ рдХреЗрдмрд▓ рднреА рдмрдирд╛рдпрд╛ред рд▓реЗрдХрд┐рди рдореЗрд░реЗ рдкрд╛рд╕ рд╕рдм рдХреБрдЫ рдерд╛ - рдХрдиреЗрдХреНрдЯрд░реНрд╕ рдХреЗ рд╕рд╛рде рднрд╛рдЧреНрдпрд╢рд╛рд▓реАред
рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдХреЗ рд╕рд╛рде, рдореБрдЭреЗ рдЕрдЧрд▓реА рд╢рд╛рдо рдХреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдиреБрдХрд╕рд╛рди рдЙрдард╛рдирд╛ рдкрдбрд╝рд╛ред рдореИрдВрдиреЗ рдПрдХ рджреЛ рддрд░рдлрд╛ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореБрджреНрд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдмреЛрд░реНрдб рдХреЗ рдПрдХ рдЯреБрдХрдбрд╝реЗ рд╕реЗ рдмреЛрд░реНрдб рдХреЛ рджреЗрдЦрд╛ред рдмреЛрд░реНрдб рдХреЛ "рд░рд┐рдЧ рдореЛрдЯрд░" рдФрд░ "рд▓реЗрдлреНрдЯ рдореЛрдЯрд░" рдХрдиреЗрдХреНрдЯрд░ рдкрд░ "рдкреБрд▓" рдХреЗ рд╕рд╛рде рдордВрдЧрд▓ рд░реЛрд╡рд░ рдХреЗ рдмреЛрд░реНрдб рдкрд░ рдкрд╣рдирд╛ рдЬрд╛рддрд╛ рд╣реИред "рд░рд┐рдЧ рдореЛрдЯрд░" WOL рдХреЛ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдФрд░ рдореИрдВрдиреЗ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдб рдкрд░ рджреВрд╕рд░рд╛ рдХрдиреЗрдХреНрдЯрд░ рдлреЗрдВрдХ рджрд┐рдпрд╛ - рд╡рд┐рд░реЛрдзрд╛рднрд╛рд╕ рдЬреИрд╕рд╛ рдХрд┐ рдпрд╣ рд▓рдЧ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЙрд╕рдХреЗ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЬреАрд╡рди рдореЗрдВ рдПрдХ рдорд╣рд┐рд▓рд╛ рдХреА рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рд╕рдорд╛рди рд░реВрдк рд╕реЗ рджрд┐рд▓рдЪрд╕реНрдк рд╢рдЧрд▓ рдореЗрдВ рдпреЛрдЧрджрд╛рди рджреЗрддреА рд╣реИ рдФрд░ рдпрд╣ рдЬреНрдЮрд╛рдд рдирд╣реАрдВ рд╣реИ рдХрд┐ рд╡рд╣ рдЗрд╕ рдмреЛрд░реНрдб рдХреЛ рдФрд░ рдХреНрдпрд╛ рдХрд░рдирд╛ рдЪрд╛рд╣рддреА рд╣реИред рдЪреВрдВрдХрд┐ рдореИрдВ рд╕реЛрд▓реНрдбрд░ рд╡рд╛рдпрд░рд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рдЖрд▓рд╕реА рдерд╛, рдФрд░ рдореЗрд░реЗ рдкрд╛рд╕ рдмрд╣реБрдд рд╕рд╛рд░реЗ рд╕реЛрд▓реНрдбрд░ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдореИрдВрдиреЗ рдЖрд╡рд╢реНрдпрдХ рдкрдЯрд░рд┐рдпреЛрдВ рдХреЛ "рд╡рд┐рдХрд╕рд┐рдд" рдХрд┐рдпрд╛ред рдпрд╣ рдмрджрд╕реВрд░рдд рдирд┐рдХрд▓рд╛ рдФрд░ рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рдореИрдВ рдРрд╕рд╛ рдХрд░рдиреЗ рдХреА рд╕рд▓рд╛рд╣ рдирд╣реАрдВ рджреЗрддрд╛ред рдШрд░ рдкрд░ рдПрдХ рдбреАрд╕реА-рдбреАрд╕реА рд╕реНрдЯреЗрдмрд▓рд╛рдЗрдЬрд░ рднреА рдкрд╛рдпрд╛ рдЧрдпрд╛ рдерд╛, рд▓реЗрдХрд┐рди рдХреЛрдИ рдСрдкреНрдЯреЛрдХреЙрдкреНрд▓рд░реНрд╕ рдирд╣реАрдВ рдереЗред рдЗрд╕рдХреЗ рдмрдЬрд╛рдп, рдЙрд╕рдиреЗ рдкреИрдб рдХреЛ рд╕реАрд▓ рдХрд░ рджрд┐рдпрд╛ рдФрд░ рдПрдХ рд╣рдлреНрддреЗ рдХреЗ рд▓рд┐рдП рд╕рдм рдХреБрдЫ рдЫреЛрдбрд╝ рджрд┐рдпрд╛ рдЧрдпрд╛ред рджреЛ рд╣рдлреНрддреЗ рдмрд╛рдж, рдореИрдВрдиреЗ рдСрдкреНрдЯреЛрдХреЙрдкрд░реНрд╕ рдХреА рд╕реНрдерд╛рдкрдирд╛ рдХреА рдФрд░ рдпрд╣ рдЬрд╛рдВрдЪрдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ рдХрд┐ рдпрд╣ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░реЗрдЧрд╛ред




рд╕рдмрд╕реЗ рдХрдард┐рди рд╣рд┐рд╕реНрд╕рд╛ рдПрдЯреАрдПрдХреНрд╕ рдкрд╛рд╡рд░ рдХрдиреЗрдХреНрдЯрд░ рдХреЛ рдХрд╛рдЯ рд░рд╣рд╛ рдерд╛ред рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░, рдХреНрд▓рд┐рдк рдХреЛ рдореЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдиреЗрдХреНрдЯрд░ рдореЗрдВ рдХреНрд▓рд┐рдк рдХреЛ рд╕реНрдЯреЗрдкрд▓рд░ (рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ, рдореЗрд░реЗ рдкрд╛рд╕ рдПрдХ рдЪрд┐рдордЯрд╛ рдирд╣реАрдВ рд╣реИ) рдХреЛ рд╣рдЯрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдпреБрдХреНрддрд┐рдпреЛрдВ рдХрд╛ рдПрдХ рд╕рдореВрд╣ рд╣реИред рдореИрдВрдиреЗ рдХреБрдЫ рд╡реЗрдмрд╕рд╛рдЗрдЯ рдкрд░ рдПрдХ рд╡реАрдбрд┐рдпреЛ рднреА рджреЗрдЦрд╛ред рд▓реЗрдХрд┐рди рдореИрдВ рдХрдиреЗрдХреНрдЯрд░ рд╕реЗ рдХрдиреЗрдХреНрдЯрд░ рд╕реЗ рдмрд╛рд╣рд░ рдирд╣реАрдВ рдирд┐рдХрд▓рдирд╛ рдЪрд╛рд╣рддрд╛ рдерд╛ред рд╕рднреА рдкреНрд░рд╕рд┐рджреНрдз рд╢рдмреНрджреЛрдВ рдореЗрдВ рдорджрдж рдХреЗ рд▓рд┐рдП рдХреЙрд▓ рдХрд░рддреЗ рд╣реБрдП, рдореИрдВрдиреЗ рд▓рдЧрднрдЧ рджреЛ рдШрдВрдЯреЗ рдПрдХ рд╕реБрдИ рдХреЛ рддреЛрдбрд╝рдиреЗ рдФрд░ рдХреБрдЫ рдкреЗрдкрд░ рдХреНрд▓рд┐рдк рдХреЛ рдлрд┐рд░ рд╕реЗ рд╕реНрдкреЛрд░реНрдЯ рдХрд░рдиреЗ рдореЗрдВ рдмрд┐рддрд╛рдПред рд▓реЗрдХрд┐рди рдпрд╣ рд╕рднреНрдп рдирд┐рдХрд▓рд╛)


рд╕рдорд╛рдзрд╛рди рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рд╣реИ рдФрд░ рдЖрдкрдХреЛ рдХреЗрд╕ рдкрд░ рдкрд╛рд╡рд░ рдмрдЯрди рд╕реЗ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдЪрд╛рд▓реВ / рдмрдВрдж рдХрд░рдиреЗ рдФрд░ WOL рдХрдорд╛рдВрдб рдЬрд╛рд░реА рдХрд░рдХреЗ рдиреЗрдЯрд╡рд░реНрдХ рдкрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдЪрд╛рд▓реВ рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рдореИрдВ рдиреЗрдЯрд╡рд░реНрдХ рдкрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдмрдВрдж рдирд╣реАрдВ рдХрд░ рд╕рдХрддрд╛ - рдмрд┐рдЬрд▓реА рдЪрд╛рд▓реВ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рд░рд┐рдВрдЧ рд╕рд┐рдЧреНрдирд▓ рдЬрд╛рд░реА рдХрд░рдирд╛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЖрдЧреЗ рд░рдЪрдирд╛рддреНрдордХрддрд╛ рдХреЗ рд▓рд┐рдП рдПрдХ рд░рд┐рдЬрд░реНрд╡ рд╣реИ! рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЖрдк FPGA рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рдлрд┐рд░ рд╕реЗ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рддрд╛рдХрд┐ 20 рд╕реЗрдХрдВрдб рдХреЗ рднреАрддрд░ рдЖрда WOL рдХрдорд╛рдВрдб рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, USB рдирд┐рдпрдВрддреНрд░рдХ рдХреЛ рдмрд┐рдЬрд▓реА рдХреА рдЖрдкреВрд░реНрддрд┐ рдХреА рдЬрд╛рдПрдЧреАред USB рдХрдВрдЯреНрд░реЛрд▓рд░ рдореЗрдВ рдбрд╛рд▓рд╛ рдЧрдпрд╛ USB рдлреНрд▓реИрд╢ рдбреНрд░рд╛рдЗрд╡ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рд╡рд░реНрдЪреБрдЕрд▓ рдорд╢реАрди рдореЗрдВ рд▓рдЧрд╛ рд╣реЛрдЧрд╛ рдФрд░ FTP рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдПрдХ рд╡рд┐рд╢реЗрд╖ рд▓реЙрдЧрд┐рди рдФрд░ рд╡рд┐рд╢реЗрд╖ рдкрд╛рд╕рд╡рд░реНрдб, рдПрдХ рдлрд╝реЛрд▓реНрдбрд░ рдпреБрдХреНрдд, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╣рдм рдкрд░ рдкрд╛рд╕рд╡рд░реНрдб рд╡рд╛рд▓рд╛ рдПрдХ рджрд╕реНрддрд╛рд╡реЗрдЬ рдЙрдкрд▓рдмреНрдз рд╣реЛ рдЬрд╛рдПрдЧрд╛)

рдпрд╣ рд╕рд┐рд╕реНрдЯрдо рдпреВрдирд┐рдЯ рдореЗрдВ рдкрд░рд┐рдгрд╛рдореА рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рд╕рд╛рд╡рдзрд╛рдиреАрдкреВрд░реНрд╡рдХ рд╕реБрд░рдХреНрд╖рд┐рдд рдХрд░рдиреЗ рдФрд░ рдЗрд╕реЗ рдбреНрдпреВрдЯреА рдкрд░ рд░рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд░рд╣рддрд╛ рд╣реИред рдЖрджреЗрд╢рд┐рдд рдмреЛрд░реНрдб рдЖрдиреЗ рдкрд░ рдХреНрдпрд╛ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред рдФрд░ рд╡рд░реНрддрдорд╛рди рдПрдХ рдХрд╛рд░ рдЕрд▓рд╛рд░реНрдо рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╡рд╛рдкрд╕ рдЖ рдЬрд╛рдПрдЧреА - рдЬрдм рдЖрдк рдХрд╛рд░ рдореЗрдВ рд╕рднреА рдЪреАрдЬреЛрдВ рдХреЛ рд▓реЙрдХ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рддреИрд░рддреЗ рд╣реИрдВ рдФрд░ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рд╕рдордп рдХреЗ рдмрд╛рдж рдЦреЛрд▓рдиреЗ рдХреЗ рд▓рд┐рдП рдЯрд╛рдЗрдорд░ рд╕реЗрдЯ рдХрд░рддреЗ рд╣реИрдВ)))

рдирд┐рд░реНрдЧрдо рдореВрд▓реНрдп:
- рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛рд░реНрдб рдбреА-рд▓рд┐рдВрдХ рдбреАрдЬреАрдИ -528 рдЯреА = 300 рдЖрд░ред
- рдмреЛрд░реНрдб рд░реЛрд╡рд░ + рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдЗрд╕реЗ (рдпрджрд┐ рдЖрд╡рд╢реНрдпрдХ рд╣реЛ) + рд░реВрд╕реА рдореЗрд▓ = 1000r рджреНрд╡рд╛рд░рд╛ рд╡рд┐рддрд░рдгред + 600 рд░рдЧрдбрд╝ред + 150rред

рдиреАрдЪреЗ рдореИрдВ FPGA рдХреЗ рд▓рд┐рдП рдлрд░реНрдорд╡реЗрдпрд░ рдкрд╛рда рджреЗрддрд╛ рд╣реВрдВ рдФрд░, рд╕реНрдХреАрдо рд░реВрдк рд╕реЗ, рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдмреЛрд░реНрдбред VHDL рдореЗрдВ рд╢реБрд░реБрдЖрддреА рд▓реЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП, рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдкрд╛рда рдЙрдкрдпреЛрдЧреА рд╣реЛрдЧрд╛ред рдЯрд╛рдЗрдо рдЬрдирд░реЗрдЯрд░ рд▓рд┐рдЦрдирд╛ рдореБрд╢реНрдХрд┐рд▓ рдирд╣реАрдВ рд╣реИ - рд╣рдордиреЗ рдХрд╛рдЙрдВрдЯрд░ рдХреЛ CLOCK рдореЗрдВ рдЧрд┐рдирд╛ - рдпрд╣рд╛рдБ рдЖрдкрдХреЗ рдкрд╛рд╕ рдПрдХ рджреВрд╕рд░рд╛ рд╣реИред рдкрд┐рди рдХрд░рддреЗ рд╕рдордп, рдкреЛрд░реНрдЯ F0 рдФрд░ F1 рдХреЗ рд▓рд┐рдП "рд╢реНрдорд┐рдЯ рдЯреНрд░рд┐рдЧрд░ рдЗрдирдкреБрдЯ" рд╕реЗрдЯ рдХрд░рдирд╛ рди рднреВрд▓реЗрдВ рдФрд░ рдкреБрд▓-рдЕрдк рд░реЛрдХрдиреЗрд╡рд╛рд▓рд╛ рдЪрд╛рд▓реВ рдХрд░реЗрдВред рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХреЗ рд╕рд╛рде рдЗрдВрдЯрд░рдлреЗрд╕ рдмреЛрд░реНрдб рдкрд░, рдпреЗ рдЗрдирдкреБрдЯ рднреА рдЦреАрдВрдЪреЗ рдЬрд╛рддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЕрдиреНрдп рдЙрджреНрджреЗрд╢реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП - рд╕реНрдереИрддрд┐рдХ рдХреЗ рдЦрд┐рд▓рд╛рдл рд╕реБрд░рдХреНрд╖рд╛ред

---------------------------------------------------------------------------------------------------------- -- Company: House -- Engineer: AlexanderS -- -- Create Date: 13/06/2012 -- Modification Date: --/--/---- -- Module Name: manag_pc -- Project Name: - -- Version: v.1.1 -- Description:     PWR ,  WOL    --    /  PWR SW    ---------------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use IEEE.STD_LOGIC_SIGNED.ALL; use IEEE.NUMERIC_STD.ALL; --use IEEE.MATH_REAL.ALL; --library UNISIM; --use UNISIM.VComponents.ALL; entity project is GENERIC ( ----------   ---------- CLOCK : integer := 100000000 --   , [;  1000] ); Port ( ----------  ---------- led: out std_logic_vector(7 downto 0) :=(others=>'0'); f0: in std_logic_vector(9 downto 0) :=(others=>'1'); f1: in std_logic_vector(9 downto 0) :=(others=>'1'); f2: out std_logic_vector(9 downto 0) :=(others=>'0'); ----------    ---------- CLK: in std_logic :='0' --    ( CLOCK) ); end project; architecture Behavioral of project is signal df : std_logic_vector(5 downto 0) :=(others=>'0'); signal sw_lock : std_logic :='0'; signal hl : std_logic_vector(7 downto 0) :=(others=>'0'); signal PWR_BUT1, WOL1, PWRB_on, WOL, lock : std_logic :='0'; signal PWR_BUT2, WOL2 : std_logic :='1'; signal st : integer range 3 downto 0 :=0; signal sec0125, sec025, sec05, sec, sec2, min, hour, day, res_time : std_logic :='0'; signal time_s, time_m : std_logic_vector(5 downto 0) :=(others=>'0'); signal time_h : std_logic_vector(4 downto 0) :=(others=>'0'); COMPONENT gen_time is --   GENERIC ( CLOCK : integer := 100000000 ); Port ( ----------  ---------- sec0125: out std_logic :='0'; sec025: out std_logic :='0'; sec05: out std_logic :='0'; sec2: out std_logic :='0'; sec: out std_logic :='0'; time_s : out std_logic_vector(5 downto 0) :=(others=>'0'); min: out std_logic :='0'; time_m : out std_logic_vector(5 downto 0) :=(others=>'0'); hour: out std_logic :='0'; time_h : out std_logic_vector(4 downto 0) :=(others=>'0'); day: out std_logic :='0'; ----------    ---------- RES: in std_logic :='0'; CLK: in std_logic :='0' ); end COMPONENT; begin Inst_gen_time: gen_time --   GENERIC MAP( CLOCK => 100000000 ) Port MAP ( sec0125 => sec0125, sec025 => sec025, sec05 => sec05, sec => sec, sec2 => sec2, time_s => time_s, min => min, time_m => time_m, hour => hour, time_h => time_h, day => day, RES => res_time, CLK => CLK ); res_time <= '0'; ---- BEGIN  - Process(CLK) begin if (CLK'Event) and (CLK='1') then if (sw_lock = '0') then if (f0(0) = '0') or (f1(0) = '0') then sw_lock <= '1'; end if; df(0) <= not f0(0); hl(6) <= f0(0); df(1) <= not f1(0); hl(7) <= f1(0); elsif (sec0125 = '1') then sw_lock <= '0'; end if; end if; if (df(2) = '1') then f2 <= (others=>'1'); else f2 <= (others=>'0'); end if; led <= hl; end if; end process; ----- END  - --- BEGIN process(CLK) begin if (CLK'event and CLK = '1') then PWR_BUT1 <= df(0); PWR_BUT2 <= not PWR_BUT1; WOL1 <= df(1); WOL2 <= not WOL1; if ((PWR_BUT1 = '1') and (PWR_BUT2 = '1')) then --      PWRB_on <= '1'; elsif ((PWR_BUT1 = '0') and (PWR_BUT2 = '0')) then --      PWRB_on <= '0'; elsif ((WOL1 = '1') and (WOL2 = '1')) then WOL <= '1'; else WOL <= '0'; end if; --    WOL if (PWRB_on = '1') and (lock = '0') then --    df(2) <= '1'; hl(0) <= not hl(0); lock <= '1'; elsif (PWRB_on = '0') and (lock = '1') then --    df(2) <= '0'; lock <= '0'; else --   WOL case st is when 0 => if (WOL = '1') then st <= st + 1; end if; --      when 1 => df(2) <= '1'; if (sec025 = '1') then st <= st + 1; end if; when 2 => if (sec025 = '1') then st <= st + 1; end if; when 3 => hl(0) <= not hl(0); df(2) <= '0'; st <= 0; when others => null; end case; end if; end if; end process; --- END end Behavioral; 




рдЖрдк рдЕрднреА рднреА FPGA рдХреЗ рд╕рд╛рде рдмреЛрд░реНрдб рдХреЛ UPS рдирд┐рдпрдВрддреНрд░рдг рдХреЛ рддреЗрдЬ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдФрд░ рдЗрд╕рдХреЗ рдиреЗрдЯрд╡рд░реНрдХ рд▓реЙрдиреНрдЪ рдХреЗ рд╕рд╛рде рдпрд╣ рдХрд░реНрддрд╡реНрдп рдХрдВрдкреНрдпреВрдЯрд░ рдЕрднреА рднреА рдиреЗрдЯрд╡рд░реНрдХ рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рдиреЗ рдХреА рдХреНрд╖рдорддрд╛ рдХреЛ рдЕрдирд▓реЙрдХ рдХрд░реЗрдЧрд╛ рдЕрдиреНрдп рдЙрдкрдХрд░рдг)))

рдкреБрдирд╢реНрдЪ
рдПрдХ рдХреЙрдорд░реЗрдб рдиреЗ рдХрд╣рд╛ рдХрд┐ рдЙрдкрдХрд░рдг рдирд┐рд░реНрдорд╛рддрд╛рдУрдВ рд╕реЗ рд╕рдорд░реНрдерди рдХреЗ рдЕрднрд╛рд╡ рдореЗрдВ, рдпрд╣ рд╕рдм рдХрд░рдирд╛ (WOL) рдмрд╣реБрдд рдореБрд╢реНрдХрд┐рд▓ рд╣реЛрдЧрд╛ рдФрд░ рдЗрд╕реЗ рд▓реЗрдиреЗ рдХрд╛ рдХреЛрдИ рдорддрд▓рдм рдирд╣реАрдВ рд╣реИ, рдЖрджрд┐ред рдЖрджрд┐ рдЙрдиреНрд╣реЛрдВрдиреЗ рдЙрд╕рдХреЗ рд╕рд╛рде "рдареАрдХ рдЙрд╕реА рддрд░рд╣" рдХреЗ рд▓рд┐рдП рдмрд╣рд╕ рдХреА ...
рдЦреИрд░, рдХрд┐рд╕рдиреЗ рдХрд╣рд╛ рдХрд┐ рд╣рдо рдХреБрдЫ рдирд╣реАрдВ рдХрд░ рд╕рдХрддреЗ? :)

Source: https://habr.com/ru/post/In146404/


All Articles